Exame tipo

Sistemas Digitais I

1999/2000

Nota: Este modelo de exame foi concebido para ser efectuado em 3 horas


1. Considere a seguinte função de 3 variáveis:

f (A, B, C) = \A.B.C + A.\B.C + A.B.\C + A.B.C

a) Aplicando apenas os axiomas da Álgebra de Boole - indicando os que usar - simplifique esta função.

b) Considere que o valor que esta função toma é irrelevante quando A=0 e C=0; represente esta função na forma canónica de soma de produtos (minterms).

c) Escreva a tabela de verdade para esta função.

d) Simplifique esta função usando mapas de Karnaugh

e) Construa o circuito lógico que implementa esta função, usando gates AND, OR e INV.

f) Indique justificando se ocorre algum hazard no circuito implementado na alínea anterior, quando apenas uma das variáveis de entrada muda. (Sug.: olhar para as selecções feitas no mapa de Karnaugh).

g) Sabendo que o tempo de propagação de sinais em cada uma das gates é de 10ns, trace as formas de onda que mostram o comportamento da função f ao longo do tempo, quando as entradas A^B^C variam de 011 para 101. (Sug.: trace também as formas de onda dos sinais às saídas de todas gates).

h) O diagrama temporal obtido na alínea anterior está de acordo com o esperado, ou ocorreu algum glitch? Justifique a resposta.

i) Construa o circuito lógico que implementa esta função, usando apenas gates NAND.

j) Sem simplificar a função, construa o circuito lógico que implementa esta função, usando um mux 4:1.

l) Sem simplificar a função, construa o circuito lógico que implementa esta função, usando a PAL da figura, assinalando no desenho as ligações a efectuar

m) Sem simplificar a função, construa o circuito lógico que implementa esta função, usando uma ROM de 8 palavras de 4-bit cada; explicite os pressupostos que assumir e mostre o conteúdo de todas as células.


2. Considere o diagrama ASM apresentado na figura.

a) Construa uma tabela combinada que inclua:

b) Desenhe um circuito lógico, minimizado relativamente ao número de portas lógicas.

c) Desenhe um circuito lógico, minimizado relativamente ao número de ligações.

d) Para implementar com uma PLA a lógica combinatória das funções que geram o próximo estado, indique a dimensão mínima da PLA a utilizar (nº produtos, nº entradas, nº saídas).


3. Pretende-se projectar um sistema de controlo duma unidade industrial para encher e rolhar garrafas (ver figura). A unidade é composta por um tapete rolante, o motor que faz rolar o tapete, a torneira para enchimento das garrafas e o módulo que aplica a rolha nas garrafas. Os elementos a controlar são o motor, a válvula associada à torneira e o êmbolo que aplica a rolha nas garrafas. Considerando que a unidade não permite mais do que uma garrafa sobre o tapete, o seu funcionamento sequencial é assim definido: entra uma garrafa no tapete, a garrafa avança até à posição de enchimento, enche-se a garrafa, a garrafa avança até à posição de aplicação da rolha, aplica-se a rolha e por fim a garrafa sai do tapete rolante, permitindo que uma nova garrafa entre no tapete. Para que a unidade funcione como se descreveu, existem 5 sensores:

a) Desenhe o diagrama de blocos do sistema de controlo da unidade industrial apresentada. Se achar conveniente descreva a funcionalidade das suas entradas/saídas.

b) Apresente o diagrama de estados do mesmo sistema de controlo.

c) Explique como implementou o controlo do êmbolo que aplica a rolha nas garrafas, mencionando os aspectos temporais envolvidos.


4. Considere as ferramentas CAD utilizadas nas aulas laboratoriais. Indique para cada uma das alíneas se a afirmação é Verddeira ou Falsa, e justifique a escolha quando pedido.

a) O OrCAD permite desenvolver placas de circuito impresso com várias camadas.

b) No OrCAD, quando a verificação da correcção ao nível eléctrico duma descrição não encontra erros já não se justifica efectuar uma simulação.

JUSTIFICAÇÃO:____________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________

c) O seguinte segmento de código VHDL descreve apenas lógica combinatória

if (sig'event and sig = '0' ) then
...
end if;

JUSTIFICAÇÃO:____________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________
__________________________________________________________________________